Նվիրատվություններ Սեպտեմբերի 15 2024 – Հոկտեմբերի 1 2024 Դրամահավաքի մասին

Learning from VLSI Design Experience

Learning from VLSI Design Experience

Weng Fook Lee
Որքա՞ն է ձեզ դուր եկել այս գիրքը:
Ինչպիսի՞ն է բեռնված ֆայլի որակը:
Բեռնեք գիրքը` գնահատելու դրա որակը
Ինչպիսի՞ն է բեռնված ֆայլերի որակը:
This book shares with readers practical design knowledge gained from the author's 24 years of IC design experience. The author addresses issues and challenges faced commonly by IC designers, along with solutions and workarounds. Guidelines are described for tackling issues such as clock domain crossing, using lockup latch to cross clock domains during scan shift, implementation of scan chains across power domain, optimization methods to improve timing, how standard cell libraries can aid in synthesis optimization, BKM (best known method) for RTL coding, test compression, memory BIST, usage of signed Verilog for design requiring +ve and -ve calculations, state machine, code coverage and much more. Numerous figures and examples are provided to aid the reader in understanding the issues and their workarounds.
Addresses practical design issues and their workarounds; Discusses issues such as CDC, crossing clock domain in shift, scan chains across power domain, timing optimization, standard cell library influence on synthesis, DFT, code coverage, state machine; Provides readers with an RTL coding guideline, based on real experience.
Կատեգորիաներ:
Տարի:
2019
Հրատարակչություն:
Springer
Լեզու:
english
Էջեր:
229
Ֆայլ:
PDF, 9.37 MB
IPFS:
CID , CID Blake2b
english, 2019
Այս գրքի ներբեռնումը հասանելի չէ՝ հեղինակային իրավունքի սեփականատիրոջ բողոքի համաձայն

Beware of he who would deny you access to information, for in his heart he dreams himself your master

Pravin Lal

Հիմնական արտահայտություններ